Řešené příklady ve VHDL - Hradlová pole FPGA pro začátečníky

Řešené příklady ve VHDL
Hradlová pole FPGA pro začátečníky

Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem znám…

AutorKrál Jiří
VydavateľBEN - technická literatura
Rok vydania2010
Počet strán128
Rozmery160 x 230 mm
JazykCZ Český jazyk
Väzbabrožovaná
Váha207
EAN9788073002572
ISBN978-80-7300-257-2
ŽánerProgramovanie
9,35 €

Objednajte si tovar spolu nad 70,00 €
a poštovné máte zadarmo!

Viac o produkte

Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem známějších mikroprocesorů. Protože vyžadují zcela jiný přístup, neproniklo jejich použití k širšímu okruhu potenciálních zájemců.

Kniha ukazuje základní postupy při použití jazyka VHDL v programování hradlových polí. Pro tvorbu programů jsou v maximální míře využity šablony, které spolehlivě vedou k úspěšným řešením. To zabraňuje dopracovat se k neúspěšným výsledkům, které by mohly mít odrazující efekt. Současně jsou zde ukázány postupy obvyklé při simulaci obvodů.

Podstatnou podmínkou pro programování hradlových polí je zvládnutí tzv. vývojového prostředí. Návod pro práci s ním je poskytnut ve formě tutoriálu.

Kniha je určena všem, kteří se rozhodli začít s praktickým programováním hradlových polí. To mohou být jak nadšení amatéři juniorského věku, tak senioři, kteří se touto problematikou dosud nesetkali.

Back